Vad är VHDL program för 2 till 1 multiplexer?

Vad är VHDL program för 2 till 1 multiplexer?

biblioteket IEEE;
använda IEEE. STD_LOGIC_1164.ALL;
använda IEEE. STD_LOGIC_ARITH. ALLA;
använda IEEE. STD_LOGIC_UNSIGNED. ALLA;

enhet mux2x1 är

Port (jag: i STD_LOGIC_VECTOR (1 CEN. 0);
s: i STD_LOGIC;
y: ut STD_LOGIC);

slutet mux2x1;

arkitekturen df av mux2x1 är

börja

med s välja

y<= i(0)="" when="">
i.1 när '1',
"0" när andra;

avsluta df;